基于FPGA的正弦信号发生器的设计

点赞:11285 浏览:46595 近期更新时间:2024-01-07 作者:网友分享原创网站原创

摘 要:本文基于FPGA设计了一个正弦信号发生器,采用直接数字频率合成(DDS)技术,实现了信号发生器的频率、相位可以控制.并对系统进行了Modelsin功能仿真,仿真结果证实此次设计有较好的可靠性,且产生的波形最高频率可以达到1.25M,频率稳定度在1%以内.

Abstract:ThispaperdescribesthedesignofasinusoidalsignalgeneratorbasedonFPGA,withdirectdigitalfrequencysynthesis(DDS)technology,thefrequency,thephasesignalgeneratorcancontrol.AndthesystemoftheModelsinfunctionsimulation,simulationresultsshowthatthisdesignhasgoodreliability,highfrequencyandweformgenerationcanreach1.25M,frequencystabilitywithin1%.

关 键 词:直接数字频率合成(DDS);FPGA;正弦波信号发生器

Keywords:DirectDigitalfrequencySynthesis(DDS);FPGA;sinewesignalgenerator

基于FPGA的正弦信号发生器的设计参考属性评定
有关论文范文主题研究: 关于可靠性的论文范文检索 大学生适用: 函授毕业论文、学位论文
相关参考文献下载数量: 56 写作解决问题: 写作技巧
毕业论文开题报告: 文献综述、论文目录 职称论文适用: 期刊目录、职称评副高
所属大学生专业类别: 写作技巧 论文题目推荐度: 最新题目

中图分类号:TP346文献标识码:A文章编号:1006-4311(2014)03-0200-02

0引言

信号发生器作为一种为电子测试和计量工作电信号的设备,它和示波器、电压表、频率计等仪器一样,是最普通、最基本,也是应用最广泛的电子仪器之一,几乎所有的电参量的测量都要用到信号发生器.任意波形发生器设计的关键离不开DDS技术的发展,为了增加灵活性,我们采用FPGA实现DDS技术,把DDS中的ROM作为一个波形抽样数据的公共存储器,只要改变存储波形信息的数据,就可以灵活的实现任意波形发生器.将DDS设计嵌入到FPGA芯片所构成的系统中,将使系统具有很高的性价比[1-2].

1系统设计

设计的系统框图如图1所示.DDS系统的硬件主要有三部分组成:FPGA模块、D/A转换模块和低通滤波器.D/A转换器采用TI公司的DAC0832芯片,完成8位数字量到模拟量的转换,从而产生输出波形.RLC低通滤波器对DAC输出的波形进行滤波,滤除高频分量,从而得到一个频谱纯净的正(余)弦波[3].

2系统仿真

图2为DDS信号发生器的频率控制仿真图,从图中可以看出,正弦波的频率随着频率控制字K的增大而增大,从而验证了频率控制的功能.

图3为DDS信号发生器的相位控制仿真图,从图中可以看出,相位控制字发生变化时,正弦波的相位发生相应的变化,从而验证了相位控制的功能.

图4为DDS信号发生器的波形控制仿真图,从图中可以看出,随着波形控制字的改变,DDS输出的波形变化,从而验证了波形控制的功能.

3系统测试

以正弦波为例,进行系统的性能测试测量结果表明正弦波的频率输出范围可达到0Hz~1.25MHz,各个频率点的测试如表1.

由表中数据可以看出,三次测的频率都相对比较稳定,无较大波动.频率稳定度S最大值为0.005141,在1%以内,满足设计要求.并且频率稳定随频率变化无明显变化规律,在可达范围内相对频率稳定.

测试采用TDS2014示波器.测试正弦波时,波形由低频到高频都很稳定,直到1.25MHZ时,由于滤波器设计为1.20M的低通滤波器,所以会出现一些高频失真.图5为DDS正弦波测试图.


这个波形显示比较稳定,对称性好、波形失真小、频率精度高、波形比较平滑、无毛刺.波形基本符合设计要求.

4结论

本文介绍了基于FPGA的DDS正弦信号发生器的设计,波形功能仿真结果显示了其具有良好的可靠性和灵活性;另外,此设计方案可以根据需要进行接口和控制方式的修改,只要改变FPGA中ROM表的数据,DDS电路就可以产生任意的波形,且波形最高频率可以达到1.25M,频率稳定度在1%以内.